Verilog ams jobs

Filter

My recent searches
Filter by:
Budget
to
to
to
Type
Skills
Languages
    Job State
    2,000 verilog ams jobs found, pricing in USD

    Can you 1. add the links to each week as labeled in the spreadsheet? Place them under each subjects slideshow link and label them as"Title of subject" lecture Picture:video moodle video link 2. Can you add a ...in the spreadsheet? Place them under each subjects slideshow link and label them as"Title of subject" lecture Picture:video moodle video link 2. Can you add a restriction on each week to complete each quiz before accessing the next week? Picture:video moodle video restiction The site is . The course is AMS/ DMS Hybrid Massage Course. I will give you admin rights to edit course info once we agree to the task and price. The spreadsheet is AMS Video Lecture

    $870 (Avg Bid)
    $870 Avg Bid
    16 bids

    Project Description: I am looking for an experienced FPGA developer to implement a PL UART communication module on a Zynq FPGA. The project requires the following skills and experience: - FPGA development experience, specifically with Zynq FPGAs - Knowledge of UART communication protocols - Proficiency in HDL programming languages such as Verilog or VHDL - Ability to implement custom baud rates for UART communication - Experience with interrupt handling in FPGA designs - Strong understanding of intermediate level communication requirements The main objectives of the project are: - Implementing a PL UART module on a Zynq FPGA - Supporting selectable baud rates for UART communication - Triggering an interrupt after a successful transmission - Ensuring reliable and efficient communi...

    $166 (Avg Bid)
    $166 Avg Bid
    5 bids

    I need an experienced programmer to write FPGA test code for an upcomi...using. As this project requires moderate complexity, it is essential that the person I choose has a sound knowledge and understanding of FPGA programming. The code I am looking for is interface testing code for Audio IC (Audio Codac Part No: ADAU1761) with FPGA. Problem Statement:- We have to test the Audio interface of our customized FPGA board(FPGA PART No: XC7K325T-2FFG676I), so we need a VHDL/Verilog code for Audio IN/Out. Means, when we give input from Mic in audio in, same will be transferred to Audio out which we will hear from speaker. If you think you have the qualifications, tools and knowledge necessary to craft the code, please do not hesitate to bid on the project. I look forwa...

    $438 (Avg Bid)
    $438 Avg Bid
    9 bids

    I am looking for an expert in Verilog and FPGA development to help with a project involving a UART. The project requires the following: Data Transfer Rate: - The required data transfer rate for the UART is up to 115200 bps. FPGA Board: - The specific FPGA board being used is Xilinx. Functionality: - The desired functionality of the UART is basic data transfer. Ideal Skills and Experience: - Strong knowledge and experience in Verilog and FPGA development. - Familiarity with Xilinx FPGA boards. - Experience in implementing UART functionality. - Understanding of basic data transfer protocols and techniques. If you have the expertise and skills required for this project, please submit your proposal.

    $33 / hr (Avg Bid)
    $33 / hr Avg Bid
    4 bids

    ...using KiCAD ï‚· Design layouts to develop high-speed and reliable circuits ï‚· Analyze and resolve any design-related issue and troubleshoot for error ï‚· Provide support to PCB engineering and manufacturing teams ï‚· Assist teams in preparing test procedures to verify PCB functions ï‚· Assist in component sourcing and use of the best components for the design. Function specific experience ï‚· Experience with AMS Design – Amplifiers, Xtals, Interfaces, Regulators etc. ï‚· PCB Layout of multi-layer boards – rigid, flex etc. ï‚· Board Bring-up & Testing ï‚· Tools – GIT, Kicad, Matlab, Freecad, LTSpice, Keil etc. ï‚· MCU Platforms – TI, STM, NXP, SiLabs, Nordic, Arduino, R-Pi etc. ï‚· Wireless Platforms – LoRa, Sub 1G, BLE/BLE5, BT, WiFi, LTE/LTE-M, 5G/4G/3G, GPRS/EDGE ...

    $338 (Avg Bid)
    $338 Avg Bid
    4 bids

    We are d...using KiCAD ï‚· Design layouts to develop high-speed and reliable circuits ï‚· Analyze and resolve any design-related issue and troubleshoot for error ï‚· Provide support to PCB engineering and manufacturing teams ï‚· Assist teams in preparing test procedures to verify PCB functions ï‚· Assist in component sourcing and use of the best components for the design. Function specific experience ï‚· Experience with AMS Design – Amplifiers, Xtals, Interfaces, Regulators etc. ï‚· PCB Layout of multi-layer boards – rigid, flex etc. ï‚· Board Bring-up & Testing ï‚· Tools – GIT, Kicad, Matlab, Freecad, LTSpice, Keil etc. ï‚· MCU Platforms – TI, STM, NXP, SiLabs, Nordic, Arduino, R-Pi etc. ï‚· Wireless Platforms – LoRa, Sub 1G, BLE/BLE5, BT, WiFi, LTE/LTE-M, 5G/4G/3G, GP...

    $353 (Avg Bid)
    $353 Avg Bid
    6 bids

    View VM for updated instructions (7/4/23) Design a social media flyer for a promotion/sale on Facebook. I am open to any design elements or color schemes. Skills and Experience: - Graphic design experience - Proficiency in designing social media graphics - Creativity and ability to create eye-catching designs - Knowledge of Facebook advertising guidel... for updated instructions (7/4/23) Design a social media flyer for a promotion/sale on Facebook. I am open to any design elements or color schemes. Skills and Experience: - Graphic design experience - Proficiency in designing social media graphics - Creativity and ability to create eye-catching designs - Knowledge of Facebook advertising guidelines for images I dont have a logo for AMS to so create something

    $15 (Avg Bid)
    Guaranteed
    $15
    95 entries

    The states are Idle state, Authentication state, menu state, withdraw state, deposit state, mini statement state, extra states can be added, if necessary. Moore implementation would be ideal as it is easy to implement, the model should be able to perform contain the following: 1)Withdraw 2)Deposit 3) Mini statement (up to 4 transactions) 4)Block the account for 24hrs if an incorrect pin is entered 3 times It is preferable if the Implementation of the STATES is done in different submodules and overall flow is controlled by the Main module containing the FSM. I/O utilization is recommended to be kept at minimum. Simulation with testbench simulation, Synthesis and Implementation is desired. Assume required power constraints and timing constraints for the model to work. Assume any other speci...

    $125 (Avg Bid)
    $125 Avg Bid
    7 bids

    We used one design service comapny for one ASIC project, and they have completed the ASIC design and deliver...one design service comapny for one ASIC project, and they have completed the ASIC design and delivered whole design data with their environment to us. We don't have hands on ASIC design capability in house, we need somebody's help to re-build the design environment, install free window base verilg simulator. The consultant shoud re-build the design environment in our PC using window base free verilog simulator, and generate some vcd file for test house. Also, we need document that describes the design environment. I'm expcting it'll be 1 weeks project by experienced VLSI engineer, and shoud be done on site. we are located in Santa Clara, CA. we need ...

    $1080 (Avg Bid)
    NDA
    $1080 Avg Bid
    5 bids

    I am looking to hire a virtual assistant to help my Insurance Agency with a variety of tasks. Need to be **Bilingual in English and Spanish ** Specifically, I am looking for someone to take responsibility for ,Calling Clients and doing a Customer Service review on there Renewal, input Data into our AMS or CRM for insurance quotes or updating data, calling or Texting back Clients using our CRM and to do this using Using software like Slack, Outlook, CRM, insurance AMS, Insurance Rating Software. I estimate needing a Virtual Assistant for an estimated 15-20 hours per week and can grow into a FT work..

    $6 / hr (Avg Bid)
    $6 / hr Avg Bid
    28 bids

    I already have an Amazon SES account with 50k+ limit and AMS Enterprise mailer which I will be using for my email marketing, I just need someone who can help me connect the smtp to my mailer as it is not currently working for some reason.

    $69 (Avg Bid)
    $69 Avg Bid
    12 bids

    My objective, in order of importance: 1. Find flight from BLR between Nov 25 and Dec 1 to SFO or SJC or OAK 2. Find flight from SFO or SJC or OAK between Nov 1 and Nov 10 to BLR or DEL 3. Find flight from SFO or SJC or OAK between Sep 20 and Oct 10 to a major European airport (preferred are CDG, MXP, VCE, BLQ, FCO, SVG, OSL, LHR, ZRH, GVA, LUG, ATH, SKG, AMS, BCN, MAD, but others may also work) 4. Find flight from one of the above European airport (most preferred would be PAR or CDG or BCN or MAD or MXP or VCE or BLQ or FCO or SVG or OSL or ZRH or GVA) between Nov 5 and Nov 15 to BLR Here are the parameters: 1. Flights must be business class, at least 2/3 of the journey must be in Business class. 2. Flight must be bookable with airline miles, or at least upgrade from ecnomy to busi...

    $21 / hr (Avg Bid)
    $21 / hr Avg Bid
    18 bids

    I need a verilog code which will run in Basys 3 board through Vivado software to control 4 different seven segment by different sw"s. For example Input result Sw1=1 0001 Sw1=0 0000 Sw1=1 0001 Sw2=1 0011 Sw3=1 0111 Sw4=1 1111 Thanks It must have the source file and constrain file

    $19 / hr (Avg Bid)
    $19 / hr Avg Bid
    5 bids

    I am in need of an experienced Amazon account manager to help me with managing my Amazon Seller Central account. The ideal candidate should have expertise with managing Amazon Seller Central and be able to optimize product listings to drive sales. Additionally, I would like help with advertising and marketing on Amazon to increase visibility and conversions. The ideal candidate should...manager to help me with managing my Amazon Seller Central account. The ideal candidate should have expertise with managing Amazon Seller Central and be able to optimize product listings to drive sales. Additionally, I would like help with advertising and marketing on Amazon to increase visibility and conversions. The ideal candidate should have experience with Amazon PPC, Sponsored Products, and AMS ...

    $17 (Avg Bid)
    $17 Avg Bid
    14 bids

    I am looking for a skilled professional to design a fast division circuit with a required speed of less than 1 nanosecond. The technology that should be used for the circuit design is Verilog. As for specific requirements or limitations, I am open to suggestions and willing to work with a creative and experienced freelancer who can suggest the best solutions for this project. Ideal skills and experience for the job include proficiency in circuit design, experience in using Verilog, and the ability to work efficiently to meet a tight deadline.

    $129 (Avg Bid)
    $129 Avg Bid
    23 bids

    First of all all the requirements on project documentation needed I am looking for a freelancer to help with the implementation of a single-cycle MIPS processor. The ideal candidate should have experience in digital logic design and computer architecture. The project requires the f...client in project documentation. Documentation: - The client requires in-depth analysis with diagrams in the documentation. - The documentation should cover all aspects of the implementation process, including design, testing on ModelSim simulator, and verification. Skills and experience: - Digital logic design - Computer architecture - Experience with MIPS instruction set - Experience with Verilog or HDL -Experience with ModelSim simulator The freelancer will be required to provide regular updates on...

    $44 (Avg Bid)
    $44 Avg Bid
    6 bids

    Hi Wen J., I noticed your profile and would like to offer you my project. We can discuss any details over chat.

    $350 - $350
    $350 - $350
    0 bids

    I am looking for an experienced Verilog or VHDL engineer to help me explain and design Number theoretic transform (NTT) which is the most efficient method for multiplying two polynomials of high degree with integer coefficients, using FPGA. The project has specific requirements and I will provide detailed specifications. The desired implementation platform is Xilinx FPGA using Vivado and the deadline for the project is 1-2 weeks. Ideal skills and experience for the job include Verilog or VHDL programming, FPGA design, and NTT knowledge.

    $226 (Avg Bid)
    $226 Avg Bid
    11 bids

    Hello! I have 4-5 codes. available online. some of them have verilog and testbench codes. and some doesnot have the testbench. So, I need: I will apply the completed codes in my laptop, and if there is any error help me in fixing them. write the testbench codes if it does not found. helping me in understanding the codes I set 5 dollars for each completed codes (verilog,testbench) thanks

    $18 (Avg Bid)
    $18 Avg Bid
    18 bids

    I have 5 verilog codes some of them need to write testbench and the others already have. The tasks: Help me in runing the codes, modifiing them if there is any errors. Write the testbench codes when needed Helps me in understanding the codes. No of coeds 5 I have the free source for the codes

    $11 (Avg Bid)
    $11 Avg Bid
    15 bids

    Verilog Simulation and Testbench Modification Project I am looking for a freelancer who can assist me with a Verilog simulation project. Specifically, I need someone who can modify an existing Verilog code to create a basic level testbench. I have two codes: Clock divider, 7segemnt, and I need to apply them Required Skills and Experience: - Strong proficiency in Verilog programming language - Experience with Verilog simulation and testbench design - Familiarity with ModelSim tool or equivalent - Ability to communicate effectively and work collaboratively If you have the necessary skills and experience, please apply for this project.

    $51 (Avg Bid)
    $51 Avg Bid
    3 bids

    Simulation and implementation of two players pong game under some constraints in Verilog.

    $150 (Avg Bid)
    $150 Avg Bid
    5 bids

    Implementation of a Moore finite state machine with 2 - 4 D-FlipFlops simulating a control system. Design.v and testbench.v needed.

    $128 (Avg Bid)
    $128 Avg Bid
    21 bids
    $61 Avg Bid
    1 bids

    Hello, I need code that turns on an 80% duty cycle when the feedback voltage drops below 1.5 V. I also need the voltage to be displayed on a LCD display. I need it coded in verilog to work with a DE-10 lite board.

    $169 (Avg Bid)
    $169 Avg Bid
    12 bids

    Build AMS Planning model in Python, PowerBI, or any other tool to deliver the required results in the shortest possible timeframe

    $159 (Avg Bid)
    $159 Avg Bid
    19 bids

    Project for a simple security system design in System Verilog code, design and testbench.

    $167 (Avg Bid)
    $167 Avg Bid
    22 bids

    I am looking for someone to develop a project that will allow data to be transmitted from my Field Programmable Gate Array (FPGA) to a PC. The connection type that should be used is USB and the language used to communicate must be Verilog. Data that needs to be transmitted is text only. I need a detailed solution that can handle transmission of data in a smooth, consistent manner. It should be able to identify events and their associated data while being reliable and efficient. The hardware and software involved should be thoroughly tested and debugged. The solution should also be documented and include any necessary reports/specifications. The project should be delivered in a timely fashion.

    $52 / hr (Avg Bid)
    $52 / hr Avg Bid
    6 bids

    ...individual who successfully secures the appointment. As there are only a few appointments released every 1-2 days, you may need to try multiple times. ***IMPORTANT: Please begin attempting to book the appointment immediately, and send me proof of success if you manage to secure a booking. I will award you AFTER you successfully booked an appointment. Instructions: Visit Change the language to EN (English) Select "Book Appointment" Tick the checkbox and click "Next" Select "Iran" as the citizenship Choose "one person" for the number of applicants For the question about living in Berlin with family, select "No" Select "Apply for a residence title" Opt for "Economic activity" Choose "EU Blue Card" Click &qu...

    $14 / hr (Avg Bid)
    $14 / hr Avg Bid
    15 bids

    I am looking for a freelancer to design a single clock process based on RISC-V ISA using Verilog. The clock process design must have the following specific features and functionalities: The project only requires the implementation of the base RISC-V ISA, without any specific extensions. The ideal freelancer must be skilled and experienced in Verilog and have a deep understanding of RISC-V ISA. Additionally, I would prefer someone who has previously worked on similar projects and can provide examples of their work.

    $11 (Avg Bid)
    $11 Avg Bid
    2 bids

    I am looking for a freelancer who can help me find a behavioral module that incorporates all of the methods used to implement true addition and true subtraction with a test bench module. The ideal candidate should have experience in Verilog and be able to work on a project with some design preferences. The test bench module should have a basic level of complexity.

    $11 (Avg Bid)
    $11 Avg Bid
    15 bids

    I am looking for a freelancer to design a gas detector circuit using Verilog for the Basys 3 board. The detector should be able to sense Carbon Monoxide gas. I have a rough idea of what I want. The buzzer alarm does not have any specific requirements, but it should be loud enough to be heard. The ideal skills and experience for this job include proficiency in Verilog, knowledge of gas detection circuit design, and experience with the Basys 3 board.

    $46 (Avg Bid)
    $46 Avg Bid
    6 bids

    I need to control the buck converter using a current mode control in digital form. That means i need to use digital PI, ADC converter, Digital PWM. For these digutal controlling parts I have to write verilog codes or have to use IP's in vivado to implement on FPGA. At the end I need to do PCB design for the buck converter and after that I have to combine them and observe the results on oscilloscope.

    $88 (Avg Bid)
    $88 Avg Bid
    6 bids

    Hello! I am in need of a freelancer to help me with a project creating a car elevator controller. The controller will be created using Vivad Verilog code and fpga implementation. I am looking for someone who can provide a detailed project proposal in their application. It is also important they have past work and experience in the same field. I won’t need any type of remote access for this project so please do not include any advice on that as part of your proposal. If you believe you are suited for this project and would be interested in working with me, please apply and include your detailed project proposal. I look forward to hearing from you!

    $176 (Avg Bid)
    $176 Avg Bid
    10 bids

    I am looking for help with creating a System Verilog code for a sequential multiplier and a floating point multiplier. For the multiplier, I would need both types: sequential and floating point. The verification of the functionality is required. I am necessary looking for an experienced engineer who truly understands what's needed for this requirement and can efficiently and quickly develop the code for it.

    $176 (Avg Bid)
    $176 Avg Bid
    15 bids

    As part of a development project, I need help designing verilog code on Xilinx. I'm looking for experienced freelancers with the technical skills to properly implement the design. I need complete control when it comes to providing feedback and making sure the progress is on track. The right candidate should have a solid track record and demonstrate their expertise in the same field before applying to the job.

    $149 (Avg Bid)
    $149 Avg Bid
    6 bids

    I'm looking for a VHDL 1st-in 1st-out (FIFO) project to be completed. I need a Verilog code to complete the FIFO example. Also, syntax is very important, therefore, I am attaching an example (LIFO) to illustrate the syntax.

    $22 (Avg Bid)
    $22 Avg Bid
    4 bids

    write a verilog code for a straight line equation y=mx+c where all m,x and c are 32 bit and even after arithmetic operations between m,x,cand y the final values should always be truncated to 32 bit(for example m*x gives a 64 bit value which has to be truncated to 32 bit after the multiplication) . The final value should be in 4.28 format [i.e.,4 for integer part and 28 for decimal part(fractional part)] . In the integer part one bit will be for sign and there are left with 3 more bits which can have a maximum value till 7, and the decimal part consists of 28 bits ,so the value will be + or - 7.9 for 4.28 m and x should take decimal values

    $29 (Avg Bid)
    $29 Avg Bid
    8 bids

    BCD multiplier development using Verilog HDL for Xilinx FPGA technology Input/Output Format: - Desired input/output format is Binary Testbench: - Testbench required for the Verilog code Ideal Skills and Experience: - Proficiency in Verilog HDL - Experience in BCD multiplier development - Expertise in Xilinx FPGA technology - Familiarity with Binary input/output format - Ability to create a testbench for Verilog code Goals: - Develop a functional BCD multiplier using Verilog HDL - Ensure the Verilog code passes the testbench - Optimize the design for Xilinx FPGA technology.

    $96 (Avg Bid)
    $96 Avg Bid
    22 bids

    Design a push-button door lock that uses a standard tele-phone keypad as input.

    $10 / hr (Avg Bid)
    $10 / hr Avg Bid
    11 bids

    using Artix 7 implement Master UFS protocol design for the UFS Host device, Feel free to contact who's have experince on Stroage's(emmc, ufs, nand e.t.c) Skills required : Verilog , VHDL , C

    $35833 (Avg Bid)
    $35833 Avg Bid
    6 bids

    The Delaware Nurses Association is a non-profit, small business with an existing AMS system that includes a "plug and play" website developer. We are overall very happy with our system, website, and more. However, there is opportunity to update the content within our main web pages and we are hoping to do this with some custom HTML code that gives webpages a simpler, engaging look and feel. The webpage layouts and "gadgets" would contain custom HTML code. Once built, the HTML code should be able to be easily updated (text, links, photos, etc.).

    $1060 (Avg Bid)
    $1060 Avg Bid
    98 bids

    I need a full verliog code that will output a "32-bit microprocessor using an FPGA board" 1. High level text description to describe HOW you are implementing your project. 2. DETAILED Block Diagram(s) showing design and detailed interconnections. 3. List of tasks completed 4. List of things I need to simulate, debug, and demonstrate 5. Data sheets for each IC used in your design. 6. Worst Case analysis - show tables / spread sheets in progress in process for Noise margin, Loading, Timing 7. I WILL NEED A VIDEO EXPLAINING HOW THE CODE WORKS (IN ENGLISH) 8. ALSO PICTURES OF THE CODE RUNNING SMOOTHLY NO PLAGIARISM PLEASE PLEASE COME UP WITH YOUR OWN CODE

    $32 (Avg Bid)
    $32 Avg Bid
    4 bids

    I'm looking for an experienced freelancer to help with the integration of Salesforce with AMS 360/ Cloud Integration. I have an existing Salesforce instance that I need integrating in order to make full use of the AMS 360/ Cloud Integration feature. I need help specifically with Sales Cloud. There are some specific integration requirements that I need assistance with, so an experienced developer is essential. The ideal freelancer will be familiar with Salesforce and Cloud Integration, as well as all its features and must be knowledgeable about how to go about the integration process. Ideally, they will also be able to advise on the best way to go about the integration based on my requirements. If you have experience in this sort of project and think you'd ...

    $38 / hr (Avg Bid)
    $38 / hr Avg Bid
    20 bids

    Responsibilities: - Bid and place campaigns within various digital platforms (ie: Facebook, Pinterest, Google, etc.) - Manage the ongoing real-time optimization of live media. - Ensure campaigns are pacing according to the media plan by monitoring performance and making changes when necessary. - Manage campaign budget across various p...3+ months - Individuals only, no agencies - 2+ years of experience buying media on Facebook/Instagram. - You have a proven record of managing multiple projects at once. - You have the ability to stay highly organized and efficient in a fast-paced, high-energy environment. Bonus if you’ve bought media on the following platforms: - Social: Twitter, Snapchat, Pinterest, LinkedIn, TikTok - Amazon (AMS, Amazon DSP, AMG) - Digital Audio (Spotify, ...

    $6 / hr (Avg Bid)
    $6 / hr Avg Bid
    19 bids

    ...e. Liaising with Amazon for missing units. 7. Amazon seller feedback management. a. Maintaining Account health. b. Maintaining positive feedback of above 90%. 8. Inventory planning and forecasting. 9. Inventory Management. a. Making sure the product is available all the time. b. Resolving reserved inventory issues. 10. Making sure listing stays live. 11. Chasing Amazon for cases. 12. Managing AMS (Amazon Marketing services). a. Creating an advert campaign. b. Analyzing ongoing campaigns. c. Planning and forecasting for Advert Expenditure. 13. Reporting and Report Analysis. a. Sales report. b. Returns report. c. Shipment report. d. Profit analysis. e. Inventory report. 14. Managing and fixing pricing errors. a. Making sure our prices are correct all the time so we don’t ...

    $11 / hr (Avg Bid)
    $11 / hr Avg Bid
    10 bids

    ...e. Liaising with Amazon for missing units. 7. Amazon seller feedback management. a. Maintaining Account health. b. Maintaining positive feedback of above 90%. 8. Inventory planning and forecasting. 9. Inventory Management. a. Making sure the product is available all the time. b. Resolving reserved inventory issues. 10. Making sure listing stays live. 11. Chasing Amazon for cases. 12. Managing AMS (Amazon Marketing services). a. Creating an advert campaign. b. Analyzing ongoing campaigns. c. Planning and forecasting for Advert Expenditure. 13. Reporting and Report Analysis. a. Sales report. b. Returns report. c. Shipment report. d. Profit analysis. e. Inventory report. 14. Managing and fixing pricing errors. a. Making sure our prices are correct all the time so we don’t ...

    $203 (Avg Bid)
    $203 Avg Bid
    11 bids

    We are Hiring Technical expert (Xilinx Vivado) Position: Academic Technical expert Freelancer Experience: 2+ years Qualification: Masters or Doctorate in Electronics & Communication Engineering Skills Required: Turbo Decoder VLSI Xilinx Vivado FPGA Verilog Machine learning Specific area: Need a Verilog, Xilinx Vivado and Machine learning expert Time: Part-time/Freelance Job Description: Require a Freelancer, who can do coding will be done on Xilinx Vivado. Implementation will be done on FPGA using Verilog/ system Verilog language

    $315 (Avg Bid)
    $315 Avg Bid
    14 bids

    System verilog information provided in the doc file

    $122 (Avg Bid)
    $122 Avg Bid
    16 bids