Find Jobs
Hire Freelancers

Data Transfer between HPS and FPGA on Altera De1-soc board

$250-750 USD

Completed
Posted over 7 years ago

$250-750 USD

Paid on delivery
My project is to transfer data between Hps and Fpga on altera De1 soc board. Fpga side there will be Sdram and from the hps we should be able to read and write the data. The data transfer will be done with through AXI bridges and this hardware part can be designed using Quartus 2 Qsys. Hps can be programmed using C language using altera de-5. Ultimate goal is to transferring data. I am new to this field and don't know exactly what to do. I have created Qsys part( with sdram controller, pll , hps i.e cyclone v , jtag uart) I have successfully created the connections with the master slave AXI Avalon interface. Now don't know how to proceed further.
Project ID: 11683009

About the project

3 proposals
Remote project
Active 8 yrs ago

Looking to make some money?

Benefits of bidding on Freelancer

Set your budget and timeframe
Get paid for your work
Outline your proposal
It's free to sign up and bid on jobs
Awarded to:
User Avatar
let me know your budget and provide me project requirement i can work on it i have soc level experience
$300 USD in 7 days
4.6 (15 reviews)
4.2
4.2

About the client

Flag of UNITED STATES
Los Angeles, United States
5.0
3
Payment method verified
Member since Sep 22, 2016

Client Verification

Thanks! We’ve emailed you a link to claim your free credit.
Something went wrong while sending your email. Please try again.
Registered Users Total Jobs Posted
Freelancer ® is a registered Trademark of Freelancer Technology Pty Limited (ACN 142 189 759)
Copyright © 2024 Freelancer Technology Pty Limited (ACN 142 189 759)
Loading preview
Permission granted for Geolocation.
Your login session has expired and you have been logged out. Please log in again.